-
GAMEPOD.hu
Ez itt, az elektronikával hobbiból foglakozók fórumtémája.
Lentebb összegyűjtötttem néhány elektronikával kapcsolatos, hasznos linket.
Új hozzászólás Aktív témák
-
Lompos48
nagyúr
válasz CPT.Pirk #14918 üzenetére
A linkelt szövegben sok okos dolgot fogsz találni, remélem.
Egyetlen emlékem maradt meg határozottan a múltból, ami fojtótekercset is tartalmaz: még középiskolában, az elektronika körön - mikor talán tranzisztorról még nem is lehetett hallani - a körvezető tanár (neki köszönhetem, hogy a mesterségem és hobbim az, ami ) meggyőzte a körtagokat, hogy anódpótlót kell építeni. Az pedig nem más, mint egy elektroncsövekhez használható táp, 6.3V~ és 250V= kimenetekkel. Akkor még (nagyon) forgalomban volt a 50uF+50uF-os kondi. Tehát CLC szűrés lett belőle, kiszámolva (?). Azóta a backup-omból mindig az jön elő, hogy a fojtótekercs úgy kb. feleakkora, mint a hálózati trafó.
-
őstag
válasz CPT.Pirk #14945 üzenetére
Már így is oda van neki tekerve.No mindegy majd fény derül 1x csak a turpisságra.
(kondik).Itt Szeged környékén is ilyesmi árban vannak az általad említett kondik.Legolcsóbban használtba,PC tápokban lelhető fel (de ott is csak kevésbe alkalmaznak 1db "nagyfesz" kondit)Itt is láttam még a napokban új 330u/400V-osakat(ha jól emlékszem)
Üdv:Attila
-
moha21
addikt
válasz CPT.Pirk #14945 üzenetére
Csak lomex-et néztem meg net-en, ott
250uF 400V 35x30 B43504S9257Q (EPC) RoHS SNAP-IN 60 db 458 db 224.70 Ft
280uF 385V 30x45 RM10.0 20% ..° SNAP-IN B43502S3287Q3 (EPC) RoHS 80 db 790 db 208.95 Ft
330uF 400V 30x50 RM10.0 20% ..° SNAP-IN B43503S9337M2 (EPC) RoHS 80 db 0 195.30 Ft
380uF 400V 30x45 B43504S9387M1 (EPC) RoHS SNAP-IN COMPACT 105°C 80 db 706 db 161.70 Ft
PC tápba kell? Mert ott az ESR érték sem mindegy.
[ Szerkesztve ]
Nem az az igazi férfi aki minden nőt meghódít, hanem aki ismeri a nagyfeszültségű földkábelek szigetelésének technikáját.
-
CPT.Pirk
Jómunkásember
válasz CPT.Pirk #15016 üzenetére
Na végre megtaláltam a logikáját a dolognak, belső állapot jelző szignálokkal egy impulzust csak egyszer számol meg a cucc, és nem annyiszor, ahány órajel impulzus bejön a külső impulzus alatt. Furcsa h. mennyire nehéz volt megtalálni, bár így végiggondolva baromi logikus a megoldás.
entity udcounter is
generic ( WIDTH : integer := 8);
port (CLK, UP, DOWN, RESET : in std_logic;
Q : out unsigned(WIDTH-1 downto 0) );
end entity udcounter;
architecture udcounter_a of udcounter is
signal cnt : unsigned(WIDTH-1 downto 0);
signal up1, dw1 : std_logic;
begin
process(RESET, CLK)
begin
if RESET = '1' then
cnt <= (others => '0');
elsif rising_edge(CLK) then
if (UP='1' and up1='0' and DOWN='0') then
cnt <= cnt + 1;
elsif (DOWN='1' and dw1='0' and UP='0') then
cnt <= cnt - 1;
--else leave cnt unchanged
end if;
up1 <= UP;
dw1 <= DOWN;
end if;
end process;
Q <= cnt;
end architecture udcounter_a;Már csak át kell alakítanom a saját céljaimra.
Nincs más - csak egy szál gitár - szidom a rendszert - forradalmár. - Én vagyok egyedül 88 telén. (Auróra)
-
-
PHM
addikt
válasz CPT.Pirk #15029 üzenetére
Jelen esetben nincs túl nagy jelentősége az ellenállásoknak,
mivel ekkora eltérés a kondenzátorok között valószínűtlen.
De például, amikor a 230 V-os hálózati feszültséget szűrik
(egyenirányítás után persze) 2db 200 V-os kondival,
ott igenis fontos a szerepük.
Arról sem árt megfeledkezni, hogy az ellenállások egyúttal
kikapcsolás után kisütik a kondikat.Ma olyan bizonytalan vagyok... Vagy mégsem?
-
őstag
-
őstag
válasz CPT.Pirk #15058 üzenetére
A ruszki rádiókba lévő azért szólt nagyot mert az nem volt kikönnyítve mint a más országokból származó kondik.Itt lehet látni melyik típusú elkóra gondolok.:-)
Az alján valami epoxigyanta szerűvel volt kiöntve így aztán jól le volt fojtva.Üdv:Attila
-
-
őstag
válasz CPT.Pirk #15139 üzenetére
Egy barátom kísérletezésre vett meg tőlem egy notit amibe nem tudtam se hol invertert venni.A "nagy vízen túl" lett volna de 18000Ft-ba ért volna haza....
Ő mondta,hogy LED szalagot fűz bele és saját használatra had szaladjon.Mivel nem voltam annyira kíváncsi a végeredményre így nem is kérdeztem sikerült-e.Üdv:Attila
-
zka67
őstag
válasz CPT.Pirk #15154 üzenetére
Nekem egy 380V-os, mágneskapcsolós, motoros környezetbe kellett csinálnom egy mikrokontrolleres vezérlést. Úgy sikerült kiküszöbölni a zavarokat, hogy a mikrokontroller tetejére és a nyák aljára (szigetelve) tettem egy--egy rézlemezt árnyékolásnak, a GND-re kötve. Évek óta megy kifogástalanul.
-
Lompos48
nagyúr
válasz CPT.Pirk #15198 üzenetére
Rotary encoder converter circuit
This circuit used convert signal from Rotary encoder (A,B) to
two pulse signal
- Y for CCW direction
- X for CW (clockwise) direction
Two pulse signal is easy for microcontroller programing
The circuit used two D-type flip-flop(74HC74D) for the judgement.Nagyon nagy az irodalma, 2 neves gyártónál keresgélnék (Hewlett Packard, Heidenhahn) incremental shaft encoder application címen.
[ Szerkesztve ]
-
Lompos48
nagyúr
válasz CPT.Pirk #15215 üzenetére
Nagyon izgalmas téma! Csodálatos vezérléseket enged meg robotikában, automatizálásban.
Most megint memento:
Képzelj el 10 koncentrikus kört és 120 (3 fokonkénti) felosztást, ami 1200 egyéni pozíciót jelent. Egy kétkarú forgó valamivel ennyi osztályba válogattuk a varicap diódákat, amik több pontban mért kapacitásuk szerint voltak csoportosítva. A karok pozícióját, vezérlését egy ilyen (Heidenhahn) enkóderrel oldottuk meg, indulásnál gyorsítással, majd a cél közelében lassítással közelítve.
Mondd meg a tanárodnak, hogy gratula egy öreg "motorostól". -
Speeedfire
nagyúr
válasz CPT.Pirk #15264 üzenetére
Ennyi pénzért ajándék vagy nem?
Már nagyon rég óta szemezgetek az erősítőkkel, de mindig van valami...ehhez meg lenne trafóm is. A 2 bordát meg csak összetudom kukázni valahol, mondjuk egy félbevágott cpu hűtő csak elég lenne.Fotóim https://fb.com/toth.szabolcs.art || IG: http://instagram.com/_tothszabolcs_ || Weblapom http://szabolcs-toth.com
-
Speeedfire
nagyúr
válasz CPT.Pirk #15266 üzenetére
2db 3-as csatit látok rajta, akkor az elvileg sztereo lenne vagy tévedek?
Írtam neki egy levelet, remélem pár napon belül reagál rá.A linkelt oldalon lévő tda2030, elvileg az lm1875 nagyságrendekkel szebben szól legjobb tudomásom szerint.
Watt terén még mindig a 2*15-20watt ami jó lenne nekem. Nem kell, hogy szétessen a ház.Sajnos még mindig kis pénzből gazdálkodok és hiába nézem a kapcsolásokat hónapok óta, még mindig nem tudom mi lenne a legjobb...
Ic, tranyó, fet, OTL-es cső. Mindezt persze 0 ft-ól, a már meglévő kis trafómhoz igazítva.
Lompos48: Csillám alatt mit értesz? Hővezető paszta?[ Szerkesztve ]
Fotóim https://fb.com/toth.szabolcs.art || IG: http://instagram.com/_tothszabolcs_ || Weblapom http://szabolcs-toth.com
-
Speeedfire
nagyúr
válasz CPT.Pirk #15270 üzenetére
Ezzel tisztában vagyok, anno írtam is, hogy kb ezt a szintet hozza a mostani hangfalam (logi z-4e) is.
Ezért lenne jobb kicsit "szintet lépni". Ugye a hangfalak és a trafó a régi lemezjátszóból (tesla nzc431) lennének. Agyaltam a javításán is, nem lenne sok kb 1k. De nem tudom, hogy milyen a hangminősége. Gyerek voltam még mikor utoljára használtam. Nagyon hangos volt arra emlékszem (2*15w).
PH-User: Ez egy fém szerű izémizé, amit az ic és a hűtő közé kell tenni, igaz?[ Szerkesztve ]
Fotóim https://fb.com/toth.szabolcs.art || IG: http://instagram.com/_tothszabolcs_ || Weblapom http://szabolcs-toth.com
-
Lompos48
nagyúr
válasz CPT.Pirk #15360 üzenetére
Érdekes és hasznos egy áramkör. Nincs a 2 osztóban semmi mágikus. A biztonságos működéshez az kell, hogy a tápfesz.-csökkenés érzékelése és a Reset között maradjon ideje a µP-nak a szükséges mentési műveleteket elvégezni. A lényeg az, hogy a PFI bemeneten érzékelt csökkenés hatása hamarabb következzen be, mint az LLin-é. Az előbbi a PFO kimenettel utasítja a µP-t, hogy "lépjen", mert eltűnhet a tápfesz, míg az LLin Reset-et generál. Ezért a 2 osztó feszültsége - mivel mindkét bemenet egy 1.3V-os referenciafeszültséggel van összehasonlítva - úgy van kiszámolva, hogy valamivel nagyobb az R1-R2 középpontja, mint az R3-R4-é. Itt közbejön egy kis bonyodalom: a különbséget valószínű (szerintem) a tápfeszültség (stabilizátor előtti?) csökkenési sebessége (fogyasztás függvényében) és a processzor időigénye dönti el. Elméleti szinten lehet nem annyira fontos. Amit figyelembe kell venni: nagy ellenállások esetén lehet nagyobb a zajveszély, mert a 2 bemenet (PFI/LLin) nagyon kis áramor fogyaszt az osztóktól (max (25/50nA), túl kicsik esetén plusz fogyasztást jelenthetnek.
Most a sok okoskodás után egy ajánlat: olyan max 10k körüli osztóértékek, a PFI 1.4V-ra az LLin pedig 1.3V-ra számolva.
-
Speeedfire
nagyúr
válasz CPT.Pirk #15388 üzenetére
1875-öt akartál írni nem?
Igen 30V váltóból lesz 42V egyen, de ezt már javítottam vagy nem?Az ic fűtését nem kell beleszámolni a dióda áramához? Csak, mert majdnem a felét elfűtni.
Fotóim https://fb.com/toth.szabolcs.art || IG: http://instagram.com/_tothszabolcs_ || Weblapom http://szabolcs-toth.com
-
őstag
válasz CPT.Pirk #15386 üzenetére
Amíg nem hallom a saját fülemmel a tápzajt "addig nem zavar"
A srácnak egy T-Amp-hoz kell a 9V-os táp mert egy falidugaszos kapcsoló üzeműt adtak a nem is olcsó cucc mellé.Valamikor CB tápokba alkalmaztuk a 7812-őt 3db dióda a középső láb alá és már meg is volt a 13,8V ami ráment egy 2N3055-re....
Üdv:Attila
-
Speeedfire
nagyúr
válasz CPT.Pirk #15400 üzenetére
Igen, elég érdekes az a két határ, de ebből a kis pénzből (kb 3500ft az alapanyag trafó nélkül) más nem nagyon jön ki.
Bár az a 0.01 nem tudom mennyire lesz kihallható.Fotóim https://fb.com/toth.szabolcs.art || IG: http://instagram.com/_tothszabolcs_ || Weblapom http://szabolcs-toth.com
-
Speeedfire
nagyúr
válasz CPT.Pirk #15409 üzenetére
Miért kell kettővel beszorozni? A 2 csatorna miatt?
De annyi áramot nem is tud leadni az erősítő, vagy az nem baj?
30V 2A a palfon.De gondolom azok nem is 750ft-os ic-k.
[ Szerkesztve ]
Fotóim https://fb.com/toth.szabolcs.art || IG: http://instagram.com/_tothszabolcs_ || Weblapom http://szabolcs-toth.com
-
Speeedfire
nagyúr
válasz CPT.Pirk #15414 üzenetére
Ugye 1.2A-t vesz fel elvileg az 1 csatorna 50/42=1.2A, viszont itt 2 van. Tehát akkor az már 2.4A lenne 2 csatornánál, viszont ennyit már nem képes leadni a trafó. Mondjuk jobban belegondolva akkor már olyan 15W körül megáll csatornánként majd.
Fotóim https://fb.com/toth.szabolcs.art || IG: http://instagram.com/_tothszabolcs_ || Weblapom http://szabolcs-toth.com
-
Speeedfire
nagyúr
válasz CPT.Pirk #15416 üzenetére
Ezaz, hogy nincs keret túlméretezésre.
Sz*rból várat a mottó jelenleg. Én is építenék 500VA-s trafóval lm3886-os gainclone-t, de az ára erősen a keret felett van.Semmi 1000bpm-es cucc nincs. Lágy basszusok vannak hip-hop/rap zenénél. Mondjuk ha igen jóra sikeredik a cucc, akkor lehet építek még egy csatornát egy ládikónak a mélyekhez, de ez még a jövő zenéje...ezért kérdeztem múltkor a trafó teljesítményt, mert a padláson van még egy csak semmi sincs ráírva.
Lompos48: Mondjuk a hangerő tekerésénél elvileg úgyis érzékelni fogom, hogy pl 50-70% felett már nem szól szépen. De akkor marad minden a régiben. Ma is sokat tanultam.[ Szerkesztve ]
Fotóim https://fb.com/toth.szabolcs.art || IG: http://instagram.com/_tothszabolcs_ || Weblapom http://szabolcs-toth.com
-
Lompos48
nagyúr
válasz CPT.Pirk #15418 üzenetére
Jójó! De ha már úgy kezdjük, hogy vegyünk a gombhoz kabátot, akkor más kompromisszumba is belemehetünk. Aztán később lehet gombot cserélni.
@Speeedfire: Jó hogy emlékeztettél az LM3886-ra. Azt hiszem legalább tíz éve elfekszik a szekrényben belőle egy pár. Illene valamit kezdeni vele. Csak én nem az erősítővel meg trafóval vagyok soha elakadva, hanem az előerősítővel. Sokkal bonyolultabb feladatnak tartom.
[ Szerkesztve ]
-
Speeedfire
nagyúr
válasz CPT.Pirk #15431 üzenetére
2*20 wattot elvileg nem tud leadni majd a mostani táppal. De 2*15wattos hangfalak lesznek rákötve egyelőre, majd meglátom mit produkál vele. Nagyon szerintem hangfal terén teljesítményben nem kell majd menni.
Fotóim https://fb.com/toth.szabolcs.art || IG: http://instagram.com/_tothszabolcs_ || Weblapom http://szabolcs-toth.com
-
Speeedfire
nagyúr
válasz CPT.Pirk #15433 üzenetére
Már megrendeltem az alkatrészeket, kidobni nem fogom őket.
Lehet, hogy tudok majd kölcsön magnat monitorokat szerezni, de azok meg túl nagyok. 80W@8ohm-ra emlékszem. Ennyit sehogysem fog tudni leadni ez az erősítő, mindegy milyen tápja lesz.Fotóim https://fb.com/toth.szabolcs.art || IG: http://instagram.com/_tothszabolcs_ || Weblapom http://szabolcs-toth.com
-
Speeedfire
nagyúr
válasz CPT.Pirk #15441 üzenetére
Ne úgy legyen, mondjuk nem lesz viszonyítási alapom, tehát...jól fog az szólni.
Van valami szabály arra, hogy milyen messze kell tenni az alkatrészeket egymástól? Melyik körül mekkora helyet érdemes hagyni? stb stb
Valahogy így képzeltem el a nyákot...
Fotóim https://fb.com/toth.szabolcs.art || IG: http://instagram.com/_tothszabolcs_ || Weblapom http://szabolcs-toth.com
-
Lompos48
nagyúr
válasz CPT.Pirk #15441 üzenetére
Persze, onnan ahonnan kezdve már nem bírja a táp.
@Speeedfire: az elrendezés így jól néz ki, kérdés ellenben, hogy a "kábelezés" így sikerül-e a NYÁK segítségével. Azt azért ne felejtsd, hogy a 22 és 4700μF/os kondenzátorok méretben lényegesen különböznek.
[ Szerkesztve ]
-
Speeedfire
nagyúr
válasz CPT.Pirk #15493 üzenetére
Ja, értem. Hát ha a mostani elkészül, biztos nem építek jódarabig erősítőt.
Ha csak valami tragikusan rossza hangja nem lesz, de ezt kétlem. Annyira hájpolták a gainclone-t, hogy csak szépen fog szólni. Vagy legalább szebben, mint a mostani.Fotóim https://fb.com/toth.szabolcs.art || IG: http://instagram.com/_tothszabolcs_ || Weblapom http://szabolcs-toth.com
-
malwy
senior tag
válasz CPT.Pirk #15503 üzenetére
Azt hiszem ezt egy picit túlteljesítettem
Viszont nem tetszik az erősítőn, hogy a rendelt bekapcsológomb olyan keményen kattan, hogy kétkezes meló, ráadásul tető nélkül behajlik az előlap (műanyag).
Legalább világít a sötétben, hogy ne tudjak aludni (vagy felhívja a figyelmet, hogy kapcsoljam ki).Mondjuk úgyis észreveszem ha gond van a hűtéssel, a csere/toldás/átépítés nem egy nagy feladat.
Meg még morgok egy sort a szigetelőszalag miatt: praktikerben vettem több gurigát egyben, olcsón, de másnapra a kb. 6x körbefuttatás letekerődik... Kínai cellux 6x megbízhatóbb...
-
Lompos48
nagyúr
válasz CPT.Pirk #15503 üzenetére
Nem tamáskodásból, de megkommentálnám.
Nagyon régen volt, teszteltük, hogy egy 2N3055 mekkora teljesítményt bír disszipálni csak úgy magára. Nem nagyon bírta 1W fölött. Onnan már egy lavinafolyamat indul: melegszik, növekednek a reziduális áramok, mégjobban melegszik stb, amíg tönkremegy. Ha képzeletben összehasonlítod mekkora felülettel érintkezik a környező levegővel egy 2N3055 (TO3 tok) és egy TDA 2030 (Pentawatt tok), akkor az utóbbi nagyon veszít, még azzal a csavarral, alátéttel, anyákkal együtt is.
Most ide beszúrok egy grafikont a Pentawatt (Heptawatt) tokról, ami a termikus ellenállást mutatja a disszipált teljesítmény függvényében:A felső görbéből kiindulva (free air) spekulálhatunk. 5W-ból kiindulva, ahol az Rth értéke olyan 95C/W, ami kapásból 475 fokra melegítené. 10W-nál már 850 fok lenne. Ez nonszensz, persze. Ellenkező irányba (a görbe baloldali végén) 1W-nál kb 115 fok.
Ezeket összevetve a következő képpel:
kiderül, hogy halkan hallgathattátok, márcsak azért is, mert az IC melegedéskor önmagát is "lelövi".
[ Szerkesztve ]
Új hozzászólás Aktív témák
Állásajánlatok
Cég: Alpha Laptopszerviz Kft.
Város: Pécs
Cég: Promenade Publishing House Kft.
Város: Budapest